Демультиплексоры

Демультиплексор - схема, выполняющая функцию, обратную функции мультиплексора, т.е. это комбинационная схема, имеющая один информационный вход (Д), n информационных выходов (у0, у1, …, уn-1) и k управляющих (адресных) входов (А0, А1, …, Аk-1). Обычно, также как и мультиплексоров, 2k= n. Двоичный код, поступающий на адресные входы, определяет один из n выходов, на который передается значение переменной с информационного входа (Д), т.е. демультиплексор реализует следующие функции:

Таблица функционирования демультиплексора, имеющего n = 4 информационных выходов (у0, у1, у2, у3) и k = 2 адресных входов (А0, А1), представлена в табл. 1.2.

Таблица 1.2

Уравнения, описывающие работу демультиплексора:

Схема демультиплексора, построенная по данным уравнениям и его графическое изображение представлены на рис. 1.3.


Рис. 1.3 - Схема демультиплексора "1- 4" (а) и его условное изображение (б)

Функция демультиплексора легко реализуется с помощью дешифратора, если его вход “Разрешение” (Е) использовать в качестве информационного входа демультиплексора, а входы 1, 2, 4 … - в качестве адресных входов демультиплексораА0, А1, А2, … Действительно, при активном значении сигнала на входе Е избирается выход, соответствующий коду, поданному на адресные входы. Поэтому ИС дешифраторов, имеющих разрешающий вход, иногда называют не просто дешифраторами, а дешифраторами-демультиплексорами (например, К155ИД4, К531ИД7 и др.).

Применение мультиплексоров и демультиплексоров

Термином “мультиплексирование” называют процесс передачи данных от нескольких источников по общему каналу, а устройство, осуществляющее на передающей стороне операцию сведения данных в один канал, принято называть мультиплексором. Подобное устройство способно осуществлять временное разделение сигналов, поступающих от нескольких источников, и передавать их в канал (линию) связи друг за другом в соответствии со сменой кодов на своих адресных входах.

На приемной стороне обычно требуется выполнить обратную операцию - демультиплексирование, т.е. распределение порций данных, поступивших по каналу связи в последовательные моменты времени, по своим приемникам. Эту операцию выполняет демультиплексор. Совместное использование мультиплексора и демультиплексора для передачи данных от n источников к n приемникам по общей линии иллюстрирует рис. 1.4. (В общем случае число источников данных не равно числу приемников).


Рис. 1.4

Если в схеме (рис. 1.4) n различных источников и приемников заменить n-разрядными источником и приемником, например, регистрами RGист. и RGпр. (изображены пунктирными линиями), то схема может быть использована для преобразования n-разрядного параллельного кода на передающей стороне в последовательный код (с помощью мультиплексора) и последовательного кода в параллельный на приемной стороне (с помощью демультиплексора).

При подобном применении мультиплексора и демультиплексора в качестве их адресных кодов используются выходные сигналы двоичного счетчика, последовательно формирующего на своих выходах двоичные коды чисел от 0 до n-1.

Мультиплексор можно использовать в качестве универсального логического элемента для реализации любой логической функции от числа аргументов, равного числу адресных входов мультиплексора. Покажем это на примере логической функции, заданной своей таблицей истинности (табл. 1.3).

Выбираем мультиплексор, имеющий три адресных (по числу аргументов функции) и восемь информационных входов.


Рис. 1.5

Для реализации заданной функции информационные входы мультиплексора соединим с уровнями логических “1” и “0” в такой последовательности, которая полностью копирует последовательность единиц и нулей функции в таблице истинности (рис. 1.5). При этом не требуется ни записи СДНФ, ни ее минимизации. Кстати, функция, заданная табл. 1.3 (четность числа единиц в трехразрядном слове), не упрощается, поэтому для своей реализации, например, в базисе ЛЭ “И-НЕ” требует четырех ЛЭ “3И-НЕ” и трех инверторов, т.е. в сумме потребуется три ИС. В то же время для реализации схемы по рис. 1.5 требуется всего одна ИС мультиплексора “8-1”. По этой причине, способ реализации функций трех или большего числа аргументов с помощью ИС мультиплексоров весьма популярен у разработчиков.

МУЛЬТИПЛЕКСОРЫ/ ДЕМУЛЬТИПЛЕКСОРЫ.

Мультиплексоры.

Назначение и принцип работы.

Мультиплексор является устройством, которое осуществляет выборку одного из нескольких входов и подключает его к своему выходу. Мультиплексор имеет несколько информационных входов (D 0 , D 1 , ...), адресные входы (А 0 А 1 , ...), вход для подачи стробирующего сигнала С и один выход Q. На рис. 6.26,ф показано символическое изображение мультиплексора с четырьмя информационными входами.

Каждому информационному входу мультиплексора присваивается номер, называемый адресом. При подаче стробирующего сигнала на вход С мультиплексор выбирает один из входов, адрес которого задается двоичным кодом на адресных входах, и подключает его к выходу.

рис 6.26

Таким образом, подавая на адресные входы адреса различных информационных входов, можно передавать цифровые сигналы с этих входов на выход Q. Очевидно, число информационных входов n инф и число адресных входов n адр связаны соотношением n инф = 2 nадр.

Таблица 6.13

Адресные
входы

Стробирующий
сигнал

Выход

Функционирование мультиплексора определяется табл. 6.13. При отсутствии стробирующего сигнала (C = 0) связь между информационными входами и выходом отсутствует (Q = 0). При подаче стробирующего сигнала (C = l) на выход передается логический уровень того из информационных входов D i , номер которого i в двоичной форме задан на адресных входах. Так, при задании адреса A l A 0 = ll 2 = 3 10 на выход Q будет передаваться сигнал информационного входа с адресом 3 10 , т. е. D 3 .

(6.24)

По этой таблице можно записать следующее логическое выражение для выхода Q:

Построенная по этому выражению принципиальная схема мультиплексора показана на рис. 6.26,б.

В тех случаях, когда требуется передавать на выходы многоразрядные входные данные в параллельной форме, используется параллельное включение мультиплексоров по числу разрядов передаваемых данных.

Использование мультиплексоров для синтеза комбинационных устройств.

Мультиплексоры могут быть использованы для синтеза логических функций. При этом число используемых в схеме элементов (корпусов интегральных микросхем) может быть значительно уменьшено.

Логическое выражение мультиплексора (6.24) содержит члены со всеми комбинациями адресных переменных. Следовательно, если требуется синтезировать функцию трех переменных f(x 1 , x 2 , х 3), то две из этих переменных (например, x 1 , х 2) могут быть поданы на адресные входы А 1 , и А 0 , и третья x 3 - на информационный вход.

Например, пусть требуется синтезировать функцию, заданную табл. 6.14. Логическое выражение функции

Рассматривая переменные x l , х 2 в качестве адресных переменных получим табл. 6.15, из которой видно, что мультиплексор на выходе Q реализует заданную логическую функцию. Принципиальная схема показана на рис. 6.27.

Таблица 6.14

Таблица 6.15

Очевидно, на четырехвходовых мультиплексорах может быть синтезирована любая функция трех переменных, на восьмивходовых мультиплексорах - любая функция четырех переменных и т. д.

При синтезе комбинационных схем мультиплексоры могут быть использованы совместно с элементами некоторого базиса. Пусть общее число переменных функций n. Тогда, если мультиплексор имеет n адр адресных входов, то на них подаются n адр переменных, а на его информационные входы подаются функции n-n адр переменных.

рис 6.27

рис 6.28

рис 6.29

Пусть, например, требуется синтезировать логическую функцию четырех переменных с использованием четырехвходового мультиплексора. Если адресными переменными являются x 1 , х 2 , то на информационные входы мультиплексора должны подаваться функции переменных х 3 и x 4 , определяемые показанными в табл. 6.16 областями таблицы Вейча. Внутри каждой очерченной для информационных входов области таблицы Вейча проводится минимизация обычными методами, после чего строятся схемы, формирующие подаваемые на информационные входы мультиплексора функции.

Покажем этот прием на реализации функции, заданной табл. 6.17.

При подаче переменных x 1 и х 2 на адресные входы мультиплексора на его информационные входы должны подаваться D 0 = 1; D 1 = 0; D 2 = x 3 . 4 , D 3 = 4 . Реализующая заданную функцию схема показана на рис. 6.28.

Следует иметь в виду, что синтезируя логическое устройство с использованием мультиплексора, необходимо также построить вариант схемы без использования мультиплексора. Затем сравнением полученных вариантов определить, какой из вариантов оказывается лучшим по числу используемых в схеме корпусов интегральных схем.

Мультиплексорное дерево.

Максимальное число входов мультиплексоров, выполненных в виде интегральных схем, равно восьми. Если требуется построить мультиплексорное устройство с большим числом входов, можно объединить мультиплексоры в схему так называемого дерева. Такое мультиплексорное дерево, построенное на четырехвходовых мультиплексорах, показано на рис. 6.29. Схема состоит из четырех мультиплексоров первого уровня с адресными переменными x 1 , х 2 и мультиплексора второго уровня с адресными переменными x 3 , x 4 . Мультиплексорное устройство имеет 16 входов, разбитых на четверки, которые подключены к отдельным мультиплексорам первого уровня. Мультиплексор второго уровня, подключая к общему выходу устройства выходы отдельных мультиплексоров первого уровня, переключает четверки входов. Внутри же четверки требуемый вход выбирается мультиплексором первого уровня. По такой схеме, используя восьмивходовые мультиплексоры, можно построить мультиплексорное устройство, имеющее 64 входа.

Таблица 6.16

Таблица 6.17

В первом и втором уровнях мультиплексорного дерева можно использовать мультиплексоры с разным числом входов. Если в первом уровне такого дерева используются мультиплексоры с числом адресных переменных n адр1 , а во втором - с числом переменных n адр2 , то общее число входов мультиплексорного дерева будет равно n инф = 2 nадр1 + nадр2 , а число мультиплексоров в схеме составит 2 nадр2 + 1 .

Мультиплексорные деревья могут использоваться не только для переключения каналов, но и для синтеза логических функций.

Демультиплексоры.

Демультиплексор имеет один информационный вход и несколько выходов. Он представляет собой устройство, которое осуществляет коммутацию входа к одному из выходов, имеющему заданный адрес (номер). На рис. 6.30 показано символическое изображение демультиплексора с четырьмя выходами. Функционирование этого демультиплексора определяется табл. 6.18.

Объединяя мультиплексор с демультиплексором, можно построить устройство, в котором по заданным адресам один из входов подключается к одному из выходов (рис. 6.31). Таким образом, может быть выполнена любая комбинация соединений входов с выходами.

Например, при комбинации значений адресных переменных x l = l, x 2 = 0, x 3 = 0, x 4 = 0 вход D 2 окажется подключенным к выходу Y 0 .

Использование демультиплексора может существенно упростить построение логического устройства, имеющего несколько выходов, на которых формируются различные логические функции одних и тех же переменных.

Заметим, что если на вход демультиплексора подавать константу D = 1, то на выбранном в соответствии с заданным адресом выходе будет лог. 1, на остальных выходах - лог. 0. При этом по выполняемой функции демультиплексор превращается в дешифратор.

Таблица 6.18

Адресные
входы

Выходы

A 1

A 0

Y 0

Y 1

Y 2

Y 3

рис 6.30

рис 6.31

рис 6.32

При необходимости иметь большое число выходов может быть построено демультиплексорное дерево. На рис. 6.32 показано такое дерево, построенное на демультиплексорах с четырьмя выходами. Демультиплексор первого уровня подключает вход D к определенному демультиплексору второго уровня, демультиплексоры второго уровня выбирают нужный выход, куда и передается сигнал с входа D.

Мультиплексором — называют комбинационное устройство, обеспечивающее передачу в желаемом порядке цифровой информации, поступающей по нескольким входам на один выход. Мультиплексоры обозначают через MUX (от англ. multiplexor), а также через MS (от англ. multiplex or selector).

Схематически можно изобразить в виде коммутатора, обеспечивающего подключение одного из нескольких входов (их называют информационными) к одному выходу устройства. Кроме информационных входов в мультиплексоре имеются адресные входы и, как правило, разрешающие (стробирующие). Сигналы на адресных входах определяют, какой конкретно информационный канал подключен к выходу. Если между числом информационных входов n и числом адресных входов m действует соотношение n = 2 m , то такой мультиплексор называют полным. Если n< 2 m , то мультиплексор называют неполным.

Разрешающие входы используют для расширения функциональных возможностей мультиплексора. Они используются для наращивания разрядности мультиплексора, синхронизации его работы с работой других узлов. Сигналы на разрешающих входах могут разрешать, а могут и запрещать подключение определенного входа к выходу, т. е. могут блокировать действие всего устройства.

Функционирование двухвходового мультиплексора

Рассмотрим функционирование двухвходового мультиплексора (2 →1), который условно изображен в виде коммутатора, а состояние его входов Х 1 Х 2 и выхода Y приведено в таблице (рис. 3.41).

Исходя из таблицы, можно записать следующее уравнение:

Y = X 1 A + X 2 A

На рис. 3.42 показаны реализация такого устройства и его условное графическое обозначение.


Основой данной схемы являются две схемы совпадения на элементах И, которые при логическом уровне «1» на одном из своих входов повторяют на выходе то, что есть на другом входе.

Если необходимо расширить число входов, то используют каскадное включение мультиплексоров. В качестве примера рассмотрим мультиплексор с четырьмя входами (4 → 1), построенный на основе мультиплексоров (2 → 1).

Схема и таблица состояний такого мультиплексора приведены на рис.3.43.



Мультиплексоры являются универсальными логическими устройствами, на основе которых создают различные комбинационные и последовательностные схемы. Мультиплексоры могут использоваться в делителях частоты, триггерных устройствах, сдвигающих устройствах и др. Мультиплексоры часто используют для преобразования параллельного двоичного кода в последовательный. Для такого преобразования достаточно подать на информационные входы мультиплексора параллельный двоичный код, а сигналы на адресные входы подавать в такой последовательности, чтобы к выходу поочередно подключались входы, начиная с первого и кончая последним.

Мультиплексор как устройство сдвига

Рассмотрим пример использования мультиплексоров для реализации так называемого комбинационного устройства сдвига, обеспечивающего сдвиг двоичного, числа по разрядам. Принцип функционирования данного устройства понятен из схемы устройства и таблицы состояний его входов и выходов (рис. 3.44).


В обозначении мультиплексоров используют две русские буквы КП, например, промышленностью выпускаются такие мультиплексоры, как К155КП1, К531КШ8, К561КПЗ, К555КП17 и др.

Демультиплексором называют устройство, в котором сигналы с одного информационного входа, поступают в желаемой последовательности по нескольким выходам в зависимости от кода на адресных шинах. Таким образом, демультиплексор в функциональном отношении противоположен мультиплексору. Демультиплексоры обозначают через DMX или DMS.

Если соотношение между числом выходов n и числом адресных входов m определяется равенством n= 2 m , то такой демультиплексор называется полным, при n< 2 m демультиплексор является неполным.

Функционирование демультиплексора с двумя выходами

Рассмотрим функционирование демультиплексора с двумя выходами, который условно изображен в виде коммутатора, а состояние его входов и выходов приведено в таблице (рис. 3.45).


Из этой таблицы следует: Y 1 =X·А Y 2 = X·А т. е. реализовать такое устройство можно так, как показано на рис. 3.46.



Для наращивания числа выходов демультиплексора используют каскадное включение демультиплексоров. В качестве примера (рис. 3.47) рассмотрим построение демультиплексоров с 16 выходами (1 → 16) на основе демультиплексоров с 4 выходами (1 → 4).


При наличии на адресных шинах А 0 и А 1 нулей информационный вход X подключен к верхнему выходу DМХ 0 и в зависимости от состояния адресных шин А 2 и А 3 он может быть подключен к одному из выходов DMX 1 . Так, при А 2 = А 3 = 0 вход X подключен к Y 0 . При А 0 = 1 и А 1 = 0 вход X подключен к DMX 2 , в зависимости от состояния А 2 и А 3 вход соединяется с одним из выходов Y 4 − Y 7 и т.д.

Функции демультиплексоров

Функции демультиплексоров сходны с функциями дешифраторов. Дешифратор можно рассматривать как демультиплексор, у которого информационный вход поддерживает напряжение выходов в активном состоянии, а адресные входы выполняют роль входов дешифратора. Поэтому в обозначении как дешифраторов, так и демультиплексоров используются одинаковые буквы — ИД. Выпускают дешифраторы (демультиплексоры) К155ИДЗ, К531ИД7 и др.

При использовании КМОП-технологии можно построить двунаправленные ключи, которые обладают возможностью пропускать ток в обоих направлениях и передавать не только цифровые, но и аналоговые сигналы. Благодаря этому можно строить мультиплексоры-демультиплек-соры, которые могут использоваться либо как мультиплексоры, либо как демультиплексоры. Мультиплексоры-демультиплексоры обозначаются через MX. Среди выпускаемых мультиплексоров-демультиплексоров можно выделить такие, как К564КП1, К590КП1. Мультиплексоры-демультиплексоры входят в состав серий К176, К561, К591, К1564.


Рисунок 8.1 Упрощенное представление мультиплексора многопозиционным ключом Адресующий код А задает переключателю определенное положение, соединяя с выходом F один из информационных входов х i. При нулевом адресующем коде переключатель занимает верхнее положение х о, с увеличением кода на единицу переходит в соседнее положение x 1 и т. д. A х0х0 х1х1 х2х2 x [n] a1a1 a0a0 a n-1 F 2 n -1




Универсальные логические модули на основе мультиплексоров Универсальные логические модули (УЛМ) на основе мультиплексоров относятся к устройствам, настраиваемым на решение той или иной задачи. Универсальность их состоит в том, что для заданного числа аргументов можно настроить УЛМ на любую функцию.


Первый способ Настройки УЛМ Фиксация некоторых входов. Для этого способа справедливо следующее соотношение между числом аргументов и числом настроечных входов. Пусть число аргументов n и требуется настройка на любую из функций. Тогда число комбинаций для кода настройки, равное числу функций, есть 2n. Для двоичного кода число комбинаций связано с разрядностью кода выражением 2m, где m разрядность кода. Приравнивая число воспроизводимых функций к числу комбинаций кода настройки, имеем для числа настроечных входов соотношение m = 2n.


Рисунок 8.2 Схема использования мультиплексора в качестве УЛМ (а), примеры воспроизведения функций при настройке константами (б) и при переносе одного аргумента в число сигналов настройки (в) (а) (б) (в) Рисунок 8.2 а - иллюстрирует возможность воспроизведения с помощью мультиплексора любой функции n аргументов. Действительно, каждому набору аргументов соответствует передача на выход одного из сигналов настройки. Если этот сигнал есть значение функции на данном наборе аргументов, то задача решена. Разным функциям будут соответствовать разные коды настройки. Алфавитом настройки будет {0,1} настройка осуществляется константами 0 и 1. На рисунке 8.2 б показан пример воспроизведения функции неравнозначности x 1 х 2 с помощью мультиплексора "41".


Второй способ настройки УЛМ Большее число входов настройки наталкивает на поиск возможностей их уменьшения. Такие возможности существуют и заключаются в расширении алфавита настроечных сигналов. Рисунок 8.3 Логический блок выработки сигналов настройки УЛМ с переносом двух аргументов в сигналы настройки (а) и пример схемы воспроизведения функции четырех аргументов на мультиплексоре "41" (б) (а) (б)






Демультиплексор можно построить на основе точно таких же схем логического "И", как и при построении мультиплексора. Существенным отличием от мультиплексора является возможность объединения нескольких входов в один без дополнительных схем. Однако для увеличения нагрузочной способности микросхемы, на входе демультиплексора для усиления входного сигнала лучше поставить инвертор.






Если рассмотреть принципиальную схему самого дешифратора, то можно значительно упростить демультиплексор. Достаточно просто к каждому логическому элементу "И", входящему в состав дешифратора просто добавить ещё один вход – In. Такую схему часто называют дешифратором с входом разрешения работы. Условно-графическое изображение демультиплексора приведено на рисунке 6.




В МОП микросхемах не существует отдельных микросхем демультиплексоров, так как МОП мультиплексоры, описанные ранее по информационным сигналам не различают вход и выход, т.е. направление распространения информационных сигналов, точно также как и в механических ключах, может быть произвольным. Если поменять входы и выход местами, то КМОП мультиплексоры будут работать в качестве демультиплексоров. Поэтому их часто называют просто коммутаторами.




(устройства сравнения) определяют отношения между двумя словами. Основными отношениями, через которые можно выразить остальные, можно считать два "равно" и "больше". Компараторы (устройства сравнения) определяют отношения между двумя словами. Основными отношениями, через которые можно выразить остальные, можно считать два "равно" и "больше".







Мультиплексор (MUX – multiplex- многократный) позволяет коммутировать в численном порядке информацию, поступающую с нескольких входных шин на одну выходную. С его помощью осуществляется временное разделение информации, поступающей по разным каналам.

Схема мультиплексора на 2 входа приведена на рис. 2.9.

Рис. 2.9 Схема двухвходового мультиплексора

– информационные входы

А – адресный вход

В зависимости от значения 1 или 0, подаваемых на адресный вход, на выходе Y формируется сигнал или . Это логическая структура мультиплексора вида 2:1. Читается: две линии к одной.

Логическая структура мультиплексора вида 4:1, составляющая ½ микросхемы К155КП2 приведена на рис. 2.10.

Рис. 2.10 Структурная схема мультиплексора вида 4:1

D 1 – D 4 – информационные входы

А, В – адресные входы

А – младший разряд

В – старший разряд

ЕI – разрешающий вход

Если EI=1, то на схемы И поступает 0 и мультиплексор не работает, то есть работа возможна только при EI=0.

В табл. 2.2 приведены все возможные сочетания входных воздействий и отклики мультиплексора.

Таблица 2.2

Мультиплексор вида 4:1

Входы Выход Y
Е А В
D1
D2
D3
D4

Мультиплексор К155КП1 имеет 16 информационных входов (D0 – D15) и четыре управляющих входа A, B, C, D, разрешающий вход V и один инверсный выход F. В зависимости от цифровой комбинации на управляющих входах сигналы с соответствующего информационного входа проходят в инвертированном виде на выход микросхемы. Передача информации возможна только при низком уровне на разрешающем входе.

Если требуется структура с большим количеством входов, то можно воспользоваться схемой наращивания разрядности, приведенной на рис. 2.11.

Рис. 2.1 Мультиплексор вида 32:1 на основе двух микросхем К155КП1

Адресными входами низших разрядов служат соединенные параллельно входы A, B, C и D. Разрешающие входы V в данном случае используются для подачи высшего (пятого) разряда Е: на первую микросхему в прямом виде, на вторую в инверсном. Первая микросхема работает при нулевом сигнале высшего разряда (Е=0); а вторая – при единичном (Е=1). Благодаря логическому элементу И-НЕ на выходе, выходные сигналы будут одинаковы с входными.

Мультиплексоры с Z-состоянием выходов легко позволяют увеличивать число коммутируемых каналов без привлечения дополнительных логических элементов для объединения выходов нескольких микросхем.

На рис. 2.12 приведена схема наращивания разрядности мультиплексора с использованием микросхем, имеющих Z-состояние выхода.

Рис. 2.12 Схема наращивания разрядности мультиплексоров, имеющих Z-состояние

Демультиплексоры

Демультиплексоры противоположны в функциональном отношении мультиплексорам, то есть их назначение распределить сигналы с одного информационного входа в желаемой последовательности по нескольким выходам.

Схема демультиплексора на 2 выхода представлена на рис. 2.13.

Рис. 2.13 Демультиплексор вида 1:2

Информационный вход

А – адресный вход

В зависимости от значения А информация поступает на или

Логические функции демультиплексора и дешифратора сходны между собой.

Дешифратор можно рассматривать как обращенный по входам демультиплексор, у которого адресные входы стали информационными, а бывший информационный вход стал управляющим.

Рассмотрим структуру демультиплексора-дешифратора, представленного на рис. 2.14.

Работу устройства описывают следующие булевые уравнения:

Рис. 2.14 Логическая структура демультиплексора 1:4 – дешифратора 2:4

A, B – адресные входы

Х – информационный вход

V – вход управления

В табл. 2.3 приведены режимы работы этой схемы в качестве демультиплексора и в качестве дешифратора.

Таблица 2.3

Таблица истинности демультиплексора-дешифратора

Входы Выходы
В А X V
DMX
DC

Типичным представителем демультиплексора - дешифратора является интегральная микросхема К155ИД3 (аналог 74154).

A, B, C, D – информационные входы

G1, G2 – разрешающие входы

Режим демультиплексора 1:16

G1 = 0, тогда G2 – информационный. Кодовая комбинация A-B-C-D переводит один из 16 выходов в активное состояние, которому соответствует логический 0, остальные выходы сохраняют логическую 1.

Существенно, что сигналы на активном выходе повторяют сигналы в прямом виде, поступающие на информационный вход.

Режим дешифратора 4:16

G1 = G2 = 0, тогда A-B-C-Dинформационные входы.

Если G1 или G2 равен 1, то на всех выходах, независимо от состояний входов A-B-C-D установится логическая 1.

Мультиплексоры и демультиплексоры (ДМХ) КМОП являются коммутаторами сигналов в прямом смысле, то есть могут передавать аналоговые сигналы.

MUX как универсальный логический элемент

Использование мультиплексора в качестве универсального логического элемента основано на общем свойстве логических функций независимо от числа аргументов всегда равняться логической единице или нулю. Если на адресные входы мультиплексора подавать входные переменные, зная, какой выходной уровень должен отвечать каждому сочетанию этих сигналов, то предварительно установив на информационных входах потенциалы нуля и единицы согласно программе, получим устройство, реализующее требуемую функцию.

Примеры применения мультиплексора

1. Преобразование параллельного кода в последовательный.

Одним из способов перехода от параллельного кода к последовательному может служить схема, приведенная на рис. 2.15.

Рис. 2.15 Схема преобразования параллельного кода Х 0 - Х 15 в последовательный

Генератор вырабатывает импульсы, которые поступая на счетчик СТ заставляют его триггеры последовательно менять свое состояние от 0000 до 1111. Параллельный шестнадцатиразрядный код, подлежащий преобразованию в последовательный, подается на входы Х 0 – Х 15 . Каждый из входов Х 0 – Х 15 соединяется с выходом MUX согласно списку состояний счетчика. Перебрав весь список, мы выведем последовательно на выход F все разряды параллельного кода.

2. Программируя информационные входы MUX согласно таблице истинности можно получить устройства, реализующие любую логическую функцию, содержащую до n+1 переменных, где n – число адресных входов мультиплексора.

Пример № 1 : Реализовать на MUX функцию, заданную таблицей истинности:

Видим, что в пределах одной большой строки аргумент «а» не меняется, а аргумент «b» колеблется 0-1. Оценим взаимосвязь поведения аргумента «b» и отклика функции Y. Очевидно, что в верхней строке Y повторяет значения b, а в нижней - противоположен. Следовательно, от мультиплексора требуется выполнение всего двух функций: b и b̅, а это в два раза уменьшает мощность применяемого МХ. Схема реализации той же задачи примет вид:

Каждый из рассмотренных способов решения имеет свои достоинства и недостатки. Так при решении задачи первым способом нам не потребуются дополнительные логические элементы – инверторы, а при втором способе потребуется один инвертор. Зато, как уже отмечалось, при втором способе решения требуется мультиплексор меньшей мощности.

Пример № 2: Функция трех переменных задана таблицей истинности:

Y Примечание
F 1 = 1
F 2 =
F 3 = 0
F 4 =

Расчленим мысленно таблицу истинности на группы по 2 строки в каждой (в каждой группе неизменны; аргумент может иметь 2 состояния; F принимает одно из четырех значений:

F 1 = 1, F 2 = , F 3 = 0, F 4 =

Если переменные сигналы подключить к адресным входам MUX А и В, а на информационные входы подать согласно таблице постоянные потенциалы логической единицы и нуля и переменные сигналы , то получим искомую схему.

Пример № 3: Таблицей истинности задана функция трех переменных (мажоритарный элемент)

a b с Y Примечание
F 1 = 0
F 2 = c
F 3 = c
F 4 = 1

Решение: расчленим мысленно таблицу истинности на группы по 2 строки в каждой (в каждой группе a и b неизменны; аргумент «c» может иметь 2 состояния; F принимает одно из трех значений:

F 1 = 0, F 2 = с, F 3 = с, F 4 = 1

Реализация на MUX 4:1 с разрешающим входом

Пример № 4 : Разработать схему компаратора двухразрядных чисел А и В. А = ; В=

F Примечание
F 1 =
F 2 = 0
F 3 =
F 4 = 0
F 5 = 0
F 6 =
F 7 = 0
F 8 =

Пример № 5: Сумматор на MUX . Составим таблицу истинности для сумм двух одноразрядных чисел А и В и функции переноса Р i . Разобьем на две строки, так, что А и В не меняют свое значение, а . Найдем и для каждой пары строк таблицы.

Входы Выходы
А В

Реализация: Воспользуемся MUX К155КП2 или 564КП1 имеющими 2 четырехвходовых MUX в одном корпусе.

Сумматоры

Это устройства, предназначенные для сложения чисел в двоичном и реже в 2-10 коде.

Классификация сумматоров:

1) По характеру действия: комбинационные (не имеющие памяти);

накопительные (сохраняющие результаты вычислений).

2) По способу обработки чисел: последовательного и параллельного типа.

3) По способу формирования сигнала переноса: с последовательным, сквозным и групповым переносом.

Полусумматор

S = - функция суммы

P = - функция переноса

S – бит суммы; Р – бит переноса;

HS – half sum – полусумматор.

Обозначение на схемах

Таблица истинности полусумматора.

Входы Выходы
А В Р S

Развернутая схема полусумматора приведена на рис. 2.16.

Рис. 2.16 Полусумматор

Полусумматор пригоден для сложения двух чисел только в младшем разряде. Как видно из схемы сложения двух многоразрядных чисел для n-го разряда необходим бит переноса . Поэтому полный сумматор должен иметь 3 входа.

Полный сумматор

Таблица истинности сумматора

Входы Выходы
А В

Схема полного сумматора на элементах М2 приведена на рис. 2.17.

Рис. 2.17 Полный сумматор на элементах М2

Сумматор можно выполнить и на простых логических элементах (рис. 2.18).

Рис. 2.18 Полный сумматор на элементарных логических элементах.

Условное обозначение одноразрядного сумматора

Сумматоры последовательного действия

Используется один общий для всех разрядов полный сумматор с дополнительной цепью задержки (рис. 2.19).

Рис. 2.19 Структура последовательного многоразрядного сумматора

Оба слагаемых кодируются последовательностями импульсов, которые синхронно вводятся в сумматор через входы А и В, начиная с младших разрядов.

Цепь временной задержки (л.з.) обеспечивает хранение импульса переноса на время одного такта, то есть до прихода пары слагаемых следующего разряда, с которыми он будет просуммирован.

Достоинство: малые аппаратные затраты.

Недостатки: 1) низкое быстродействие, так как одновременно суммируется лишь пара слагаемых;

2) для хранения А и В и преобразования последовательного кода выходных импульсов S в параллельный необходимы дополнительные аппаратные затраты.

Сумматоры параллельного типа

Схема сумматора параллельного типа с последовательным переносом приведена на рис. 2.20.

Рис. 2.20 Параллельный сумматор с трактом последовательного переноса

Число сумматоров равно числу разрядов слагаемых, поэтому слагаемые А и В складываются во всех разрядах одновременно, а перенос Р поступает с окончанием операции сложения в предыдущем разряде.

Недостатки: Ограниченное быстродействие, так как формирование сигнала переноса на выходе старшего разряда не может произойти до тех пор, пока сигнал переноса младшего разряда не распространится последовательно по всей схеме.

Уменьшение времени распространения сигнала переноса достигается тем, что для каждого двоичного разряда дополнительно находятся два сигнала: образования переноса G i и распространения переноса H i .